Welcome![Sign In][Sign Up]
Location:
Search - i2c veril

Search list

[Com Portiic

Description: iic 总线 verilog 源代码 标准i2c总线, 有sda scl 时钟,频率自定-IIC bus standard Verilog source code i2c bus, has sda scl clock, the frequency of self-
Platform: | Size: 2048 | Author: johnnyz | Hits:

[VHDL-FPGA-VerilogI2Cslave

Description: i2c slave,这个是I2CBUS接收端的源代码,由VERILOG写成,经过综合和调试-i2c slave, this is the receiving end I2CBUS source code, from VERILOG languages, through integrated and debug
Platform: | Size: 1024 | Author: Xiaoyang Wang | Hits:

[VHDL-FPGA-VerilogI2C_HDL

Description: I2C bus HDL source and testbench
Platform: | Size: 701440 | Author: liuKe | Hits:

[VHDL-FPGA-VerilogI2C_Verilog

Description: I2C 控制器的 Verilog源程序 example-I2C controller Verilog source code example
Platform: | Size: 206848 | Author: 展望 | Hits:

[VHDL-FPGA-VerilogI2C_verilog

Description: 用verilog设计了一个简洁而实用的I2C总线控制器,对大家学习FPGA和I2C总线接口等相关方面的知识有较大的帮助。-Verilog design using a simple and practical I2C bus controller, for everyone to learn FPGA and I2C bus interface and other related knowledge has a greater help.
Platform: | Size: 522240 | Author: 郑玮 | Hits:

[VHDL-FPGA-VerilogEEPROM

Description: VHDL语言写的IIC实现EEPROM,很好的程序,已经用过,没有问题-Written in VHDL language IIC achieve EEPROM, good procedures are used, there is no problem
Platform: | Size: 1049600 | Author: 云川 | Hits:

[VHDL-FPGA-VerilogI2C

Description: 用verilog HDL实现I2C Master Controller 的设计,包括主程序设计和测试程序设计-Verilog HDL using I2C Master Controller to achieve the design, including the main program design and test program design
Platform: | Size: 211968 | Author: zbs | Hits:

[VHDL-FPGA-VerilogI2C_receiver

Description: 自己写的一个i2c slave的模块,verilog,已经通过验证,可以写可以读,希望对大家有用-To write a i2c slave module, verilog, has been validated, you can write can be read, in the hope that useful
Platform: | Size: 2048 | Author: lj | Hits:

[VHDL-FPGA-VerilogI2C_Slave

Description: I2C从设备(Slave) Verilog 代码、设计文档和使用文档,简单、适用:很方便修改工作频率,自定义寄存器接口。-I2C slave (Slave) Verilog code, design documents and user guide, simply to apply: the frequency of easy modification, customized register interface.
Platform: | Size: 596992 | Author: QinZhujun | Hits:

[Embeded-SCM DevelopI2C

Description: Verilog实现的I2C协议,直接在ISE下打开就可以-Verilog implementation I2C protocol to open directly in the ISE can be
Platform: | Size: 212992 | Author: Roy | Hits:

[VHDL-FPGA-Verilogi2c_AT24C04_Verilog

Description: 用Verilog HDL语言编写的AT24C04程序,并用数码管显示,已经过测试,很好用-With the Verilog HDL language of the AT24C04 procedures and use digital tube display, has been tested, very good to use--
Platform: | Size: 11264 | Author: iyandy | Hits:

[Com Porti2cBUS

Description: I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序(verilog hdl)介绍操作一个I2C总线接口的EEPROM AT24C02 的方法,使用户了解I2C总线协议和读写方法。-The I2C bus is a very common serial bus, it is simple, occupy less interface. This program (verilog HDL) introduced operating a AT24C02 EEPROM of I2C bus interface Methods, users understand the I2C bus protocols and reading methods.
Platform: | Size: 549888 | Author: lipuran | Hits:

[VHDL-FPGA-VerilogI2C

Description: 此源码为基于FPGA的实现I2C总线协议的程序,程序中实现了AT24C02的芯片的读写。-The source code for the FPGA-based implementation of I2C bus protocol of the program, the program is implemented to read and write AT24C02 chip.
Platform: | Size: 72704 | Author: 王强 | Hits:

[VHDL-FPGA-Verilogi2c

Description: verilog语言实现i2c,在ise中调试仿真-verilog language i2c, debugging simulation in ise
Platform: | Size: 391168 | Author: xiangxj | Hits:

CodeBus www.codebus.net